搜狐网站
搜狐 ChinaRen 17173 焦点房地产 搜狗
搜狐财经-搜狐网站
财经频道 > 公司新闻 > 要闻快报

中芯国际携手新思科技推设计流程

  ⊙本报记者 王璐

  中芯国际(SMI.NYSE)和新思科技(SNPS.Nasdaq)日前宣布,双方将共同推出一个支持层次化设计及多电压设计的增强型90纳米RTL-to-GDSII参考设计流程。中芯国际设计服务资深院士Paul Ouyang就此表示,为增强90纳米参考流程,中芯与新思科技进行了紧密合作,最新的流程可以减少综合迭代次数并降低测试成本,让公司的客户能够大幅度降低成本和设计风险。

  据介绍,新思科技是为全球集成电路设计提供电子设计自动化(EDA)软件工具的主导企业。对于此次合作,新思科技战略市场发展副总裁Rich Goldman认为,与中芯国际的长期合作使新思科技能够通过增强参考流程满足客户对可测性设计、可制造性设计和功率管理的不断变化的需求。此外,与中芯国际的共同努力也使公司能够向双方共同的客户提供满足他们所需的先进工具和技术,从而实现首次即成功的芯片设计。

我要发布

用户:  匿名  隐藏地址  设为辩论话题

*搜狗拼音输入法,中文处理专家>>

新闻 网页 博客 音乐 图片 说吧  
央视质疑29岁市长 邓玉娇失踪 朝鲜军事演习 日本兵赎罪
石首网站被黑 篡改温总讲话 夏日减肥秘方 日本瘦脸法
宋美龄牛奶洗澡 中共卧底结局 慈禧不快乐 侵略中国报告



说 吧更多>>

说 吧 排 行

茶 余 饭 后更多>>